找回密码
 注册
搜索
查看: 904|回复: 5

[讨论] 期待各位大侠帮我解惑!

[复制链接]
发表于 2007-7-27 17:07:32 | 显示全部楼层 |阅读模式
最近看夏宇闻老师的&lt;<VERILOG 数字系统设计>&gt;这本书,关于"10010"序列检测器这个例子有点疑惑.
下图是书中的状态转换图:
[upload=jpg]UploadFile/2007-7/07727@52RD_3.JPG[/upload]
下图是我认为的状态转换图:
[upload=jpg]UploadFile/2007-7/07727@52RD_2.JPG[/upload]

个人认为:
1.在B状态,如果序列中出现"1",说明以前出现的序列不满足要求,直接转到A状态即可;
2.在C状态,如果序列中出现"0",也说明以前出现的序列不满足要求,直接转到IDLE状态即可.
想来想去,觉得这样的状态转换图没问题.对书中另外加两个状态实在是想不通,
谢谢各位的回复!

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
 楼主| 发表于 2007-8-1 08:16:29 | 显示全部楼层
大家不吝赐教啊
点评回复

使用道具 举报

发表于 2007-8-9 10:03:00 | 显示全部楼层
哪要这么麻烦,你把要检测的数据打入一组REG中,然后再判断这组REG的值是否为“10010”即可。

当然,按照原来的方法是比较节俭资源的。[em10]
点评回复

使用道具 举报

 楼主| 发表于 2007-8-10 15:39:52 | 显示全部楼层
这样是肯定不行的啦,如果序列是:10010010  呢?
点评回复

使用道具 举报

发表于 2007-8-15 15:34:00 | 显示全部楼层
为什么不行呢?只是出现两个脉冲而已,用状态机检测,似乎也有这个问题。

关键在于要根据协议规程来选择那个脉冲而已。
点评回复

使用道具 举报

发表于 2007-11-30 14:13:59 | 显示全部楼层
这不就是一个简单的状态机么???
很容易的吧~~
[em12]
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 06:28 , Processed in 0.053685 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表