找回密码
 注册
搜索
查看: 1230|回复: 1

[讨论] 除法器的IP核

[复制链接]
发表于 2007-5-25 16:12:53 | 显示全部楼层 |阅读模式
我在程序中调用了一个IP核——除法器。程序代码如下:
module divider_N(CLK_1M,A,B,C,D,RFD);
    input CLK_1M;
  input [10:0]A;
    input [6:0]B;
  output [10:0]C;
  output [2:0]D;
  output RFD;
     
//   实例化除法器divide
divide U1 (
.clk(CLK_1M),
.dividend(A),
.divisor(B),
.quotient(C),
.remainder(D),
.rfd(RFD));
endmodule

在用ISE自带的仿真工具进行仿真时,出现如下的error提示:
ERROR:HDLParsers:3482 - Could not resolve instantiated unit DIV_GEN_V1_0 in Verilog module work/divide in any library
ERROR:Simulator:198 - Failed when handling dependencies for module divider_N_tbw
很郁闷啊! 请高手指教
发表于 2008-3-9 17:11:39 | 显示全部楼层
是不是没有编译仿真库啊.
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 08:30 , Processed in 0.048307 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表