找回密码
 注册
搜索
查看: 788|回复: 0

[讨论] 请教fpga 的复位问题

[复制链接]
发表于 2007-1-7 13:09:38 | 显示全部楼层 |阅读模式
fpga 的gsrn引脚 作为 Global RESET signal. (Active low). Any I/O pin can be configured to be
GSRN.
我的理解他是低电平有效,但在以下的设计中,为什么是当rst,也就是它为高的时候输出信号为零,请高手指教,但我在文档中看,好象也可以不通过外部引脚来触发gsr,而是在芯片配置的时候自动完成复位的,而且只是对输入信号的复位,到底该怎么处理,我现在正处在迷茫之中,同时对于pll中的rst 信号又是怎么处理的,请高手指教.万分感谢!!!

-- VHDL Example of GSR Instantiation
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity gsr_test is
port (rst, clk: in std_logic;
cntout : out std_logic_vector(1 downto 0));
end gsr_test;
architecture behave of gsr_test is
signal cnt : std_logic_vector(1 downto 0);
begin
u1: GSR port map (gsr=>rst);
process(clk, rst)
begin
if rst = '1' then
cnt <= "00";
elsif rising_edge (clk) then
cnt <= cnt + 1;
end if;
end process;
cntout <= cnt;
end behave;
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 18:32 , Processed in 0.045015 second(s), 16 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表