找回密码
 注册
搜索
查看: 687|回复: 1

[讨论] 请教大家一个关于VHDL编译时的问题

[复制链接]
发表于 2006-11-30 16:11:12 | 显示全部楼层 |阅读模式
在用maxplus II编译成功后分配管脚,但是分配好之后却编不过去了,出现这个错误:logic array block F requires too many[26/16]shareable expenders
请各位帮帮忙啊.....
发表于 2006-12-1 01:07:09 | 显示全部楼层
你的器件资源用超了,优化一下或用更大容量的FPGA
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 16:16 , Processed in 0.044917 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表