找回密码
 注册
搜索
查看: 751|回复: 0

[IC设计资料] 静态时序分析

[复制链接]
发表于 2006-5-8 11:23:00 | 显示全部楼层 |阅读模式
【文件名】:0658@52RD_wlli.rar
【格 式】:rar
【大 小】:329K
【简 介】:摘要:本文介绍了数字集成电路设计中静态时序分析(Static Timing Analysis)和形式验证(Formal Verification)的一般方法和流程。这两项技术提高了时序分析和验证的速度,在一定程度上缩短了数字电路设计的周期。本文使用Synopsys公司的PrimeTime 进行静态时序分析,用Formality 进行形式验证。由于它们都是基于Tcl(Tool Command Language)的工具,本文对Tcl 也作了简单的介绍。
关键词:静态时序分析形式验证PrimeTime Formality Tcl
【目 录】:
第一章 绪论
第二章 PrimeTime 简介
第三章 Tcl 与pt_shell 的使用
第四章 静态时序分析前的准备工作
第五章 静态时序分析
第六章 Formality 简介
第七章 形式验证
第八章 对验证失败的设计进行Debug



本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 08:12 , Processed in 0.049900 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表