找回密码
 注册
搜索
查看: 751|回复: 0

[讨论] DFT设计文档

[复制链接]
发表于 2006-4-29 14:59:00 | 显示全部楼层 |阅读模式
【文件名】:06429@52RD_Achieving DFT Closure.rar
【格 式】:rar
【大 小】:19K
【简 介】:EDA tools have dramatically improved the productivity of conventional ASIC design flows by enabling rapid,predictable and repeatable constraint closure. The industry’s adoption of powerful design methodologies suchas formal verification, static timing analysis and new technologies such as physical synthesis are speeding the implementation and verification of multi-million gate ASICs and Systems-on-Chip (SoCs). Relative to manufacturing test, the exponential growth in size and complexity of these devices, coupled with increasingly stringent quality mandates, demands new approaches in design-for-testability (DFT) that must go beyond today’s stateof-the-art.
【目 录】:无目录


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 06:26 , Processed in 0.045118 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表