找回密码
 注册
搜索
查看: 1197|回复: 4

[FPGA资料] testbench中有关文本读取方法的好资料(vhdl语言)!

[复制链接]
发表于 2006-4-18 14:46:00 | 显示全部楼层 |阅读模式
【文件名】:06418@52RD_texio的用法.rar
【格 式】:rar
【大 小】:167K
【简 介】:摘要:T E X T I O 在V H D L 仿真与磁盘文件之间架起了桥梁,使用文本文件扩展V H D L 的仿真功能。本文介绍TEXTIO 程序包,以一个加法器实例说明TEXTIO 的使用方法,最后使用ModelSim 对设计进行仿真,并分析仿真结果。
关键词:TEXTIO  VHDL  仿真 加法器 测试平台
【目 录】:
1 TEXTIO介绍
2 TEXTIO应用实例
3 仿真结果
4 结语



本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
发表于 2006-10-19 15:47:00 | 显示全部楼层
好东西
点评回复

使用道具 举报

发表于 2006-10-29 21:50:00 | 显示全部楼层
T E X T I O在仿真中值得研究。

测试仿真自动化对于提高设计、验证水平,缩短研制周期是很重要的。
点评回复

使用道具 举报

发表于 2007-1-29 20:00:00 | 显示全部楼层
帮顶一顶[em12]
点评回复

使用道具 举报

发表于 2008-4-9 23:42:00 | 显示全部楼层
好好研究一下,谢谢了!
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 10:24 , Processed in 0.047877 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表