找回密码
 注册
搜索
查看: 2918|回复: 11

[FPGA资料] 经典VHDL系统设计20例

[复制链接]
发表于 2011-9-3 21:36:06 | 显示全部楼层 |阅读模式
把以前收集的VHDL语言描述设计的系统实例传上来,给需要用的人看一看。
(^o^)/~俺的第一篇帖子噻!!
给点鼓励吧~
RD就免了,哈哈[em08]

----------------------------
例1:FPGA驱动LED静态显示
--文件名:decoder.vhd
--功能:译码输出模块,LED为共阳接法
--最后修改日期:2004.3.24
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity decoder is
        Port (seg:in std_logic_vector(3 downto 0 );   --四位二进制码输入
        q3:out std_logic_vector(6 downto 0) );   --输出LED七段码
end decoder;

architecture Behavioral of decoder is
begin
process(seg)
begin
        case seg is
        when "0000" => q3<="0000001";--0   
        when "0001" => q3<="1001111";--1
        when "0010" => q3<="0010010";--2
        when "0011" => q3<="0000110";--3
        when "0100" => q3<="1001100" --4
        when "0101" => q3<="0100100";--5
        when "0110" => q3<="0100000";--6
        when "0111" => q3<="0001111";--7
        when "1000" => q3<="0000000";--8
        when "1001" => q3<="0000100";--9
        when others => q3<="1111111";
        end case;
end process;
end Behavioral;

----------------------------
【文件名】:1193@52RD_VHDL实例.rar
【格 式】:rar
【大 小】:1277K
【简 介】:
【目 录】:


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
发表于 2011-9-18 11:27:19 | 显示全部楼层
請問一下,有安裝的說明嗎,謝謝, thank you very mcuh!!!!!!
点评回复

使用道具 举报

发表于 2012-3-14 00:32:50 | 显示全部楼层
恩。。。。。不错哈谢谢
点评回复

使用道具 举报

发表于 2013-7-17 17:53:29 | 显示全部楼层
收了 ........
点评回复

使用道具 举报

发表于 2013-7-30 16:35:55 | 显示全部楼层
哈哈,非常感谢楼主无私奉献 啊
点评回复

使用道具 举报

发表于 2013-8-6 12:22:19 | 显示全部楼层
hao hao hao hao hao
点评回复

使用道具 举报

发表于 2013-10-23 16:53:11 | 显示全部楼层
kankan      
点评回复

使用道具 举报

发表于 2014-11-14 09:30:31 | 显示全部楼层
好人难得,非常感谢!
点评回复

使用道具 举报

发表于 2015-10-10 10:16:47 | 显示全部楼层
楼主大气[em01]
点评回复

使用道具 举报

发表于 2015-10-27 16:46:15 | 显示全部楼层
还是很不错的
点评回复

使用道具 举报

发表于 2015-11-3 10:37:17 | 显示全部楼层
好贴,顶下你
点评回复

使用道具 举报

发表于 2015-12-30 10:47:22 | 显示全部楼层
非常感谢!
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-6-8 18:17 , Processed in 0.050077 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表