找回密码
 注册
搜索
查看: 1898|回复: 2

[FPGA资料] maxII中UFM的读取小实验

[复制链接]
发表于 2006-4-5 18:21:00 | 显示全部楼层 |阅读模式
【文件名】:0645@52RD_相关图.rar
【格 式】:rar
【大 小】:53K
【简 介】:共1页,内容是图片;
【目 录】:无目录


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
 楼主| 发表于 2006-4-5 18:23:00 | 显示全部楼层
【文件名】:0645@52RD_UFM读取.rar
【格 式】:rar
【大 小】:252K
【简 介】:大家知道,对于maxII的应用中,对UFM(user flash memory)的操作很重要。这里讲讲我前两天做的一个小实验,希望与大家交流交流。
我所采用的开发板是altera公司的epm1270开发板。采用UFM的parallel protocol,若对其不了解,可先参考max II的handbook.
(1)应用Quartus中的megafunction功能,生成一个地址宽度9、数据宽度16、read only类型的并行接口模块以及初始化文件.mif。
(2)编写ufm 接口程序
程序,原理图,仿真结果见附件
我上面的clock为10ns
一个小疑问就是maxII的handbook有这么一个图(见附件)
其中里面注释:
600ns<Tcommand<30000ns

Thnbusy<300ns

Thbus>600ns

但不管我的clock设为10ns,还是20ns,30ns,上面的仿真波形中Tcommand都为5us多

不知道为什么?但读数很准确。
下面总结一下:
UFM的读取基本时序为:
(1)nread 1->0;
(2)判断data_valid是否变高,变高则锁存数据do;否则等待;
(3)addr增1;         
(4)nread 0->1;
(5) 重复以上操作

UFM接口模块实际上是在nread信号的上升沿锁存地址数据,在nread的下降沿开始读过程。

呵呵,也不知道对不对。有希望交流者可进群:19972261,或加qq:53579621(请注明为cpld)
呵呵,程序可能有点乱,没整理,请谅解.

【目 录】:无目录


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
点评回复

使用道具 举报

发表于 2015-5-20 14:15:01 | 显示全部楼层
kankan
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-5-7 08:32 , Processed in 0.048791 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表