找回密码
 注册
搜索
查看: 3322|回复: 17

[FPGA资料] Xilinx ISE6.1i简明教程 (中文)

[复制链接]
发表于 2006-3-27 12:53:00 | 显示全部楼层 |阅读模式
【文件名】:06327@52RD_Xilinx ISE6[1].1i简明教程 (中文)(1).rar
【格 式】:rar
【大 小】:825K
【简 介】:在本章里介绍Xilinx公司FPGA/CPLD的集成开发环境——ISE(Xilinx Integrated software Environment)6.1i软件的简单使用,该软件环境集成了FPGA
的整个开发过程所用到的工具,不过仿真工具除外。本章主要介绍了用VHDL、VerilogHDL、原理图以及EDIF网表进行设计输入、用Mode1Sim仿真工具对设计进行功能仿真和时序仿真以及将数据流文件加载到FPGA等方面的内容。本章给初学者演示了一个完整的实现FPGA设计的开发流程,没有涉及较深入的细节问题,阅读并练习本章介绍的各节,读者就有足够的信心去把精力放到设计本身,而不是放到掌握ISE软件上来。如果你有HDL语言方面的基础,读完本章,也许你会发现,原来开发FPGA并不神秘,而且是如此容易上手。关于较为深入的方面,可以参阅ISE高级设计工具一章。
【目 录】:
7.1 设计准备
7.2 用VHDL语言设计输入
7.3 仿真
7.4 用原理图设计输入
7.5 对顶层文件进行仿真
7.6 设计实现
7.7 用EDIF设计输入
7.8 用Verilog HDL设计输入
7.9 下载配置
7.10 小结


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
发表于 2006-4-16 10:22:00 | 显示全部楼层
非常有用,支持
点评回复

使用道具 举报

发表于 2006-4-18 16:57:00 | 显示全部楼层
<P>我正好在用</P>
点评回复

使用道具 举报

发表于 2006-9-23 11:49:00 | 显示全部楼层
hao [em14]
点评回复

使用道具 举报

发表于 2006-10-10 22:00:00 | 显示全部楼层
谢谢啊!!!!!
点评回复

使用道具 举报

发表于 2006-10-14 16:24:00 | 显示全部楼层
也不知道跟XilinX ISE 8.1 差别大不大……[em13]
点评回复

使用道具 举报

发表于 2006-10-25 16:54:00 | 显示全部楼层
我现在还没有能够下载,看完之后给楼主打分!
还是很感谢!
[em07]
点评回复

使用道具 举报

发表于 2006-10-31 20:41:00 | 显示全部楼层
怎么有乱码?
点评回复

使用道具 举报

发表于 2006-11-7 10:19:00 | 显示全部楼层
谢谢楼主,支持
点评回复

使用道具 举报

发表于 2007-3-5 18:14:00 | 显示全部楼层
ding!!
点评回复

使用道具 举报

发表于 2007-7-31 10:03:00 | 显示全部楼层

谢了,正需要

谢了,正需要
点评回复

使用道具 举报

发表于 2007-8-8 09:21:00 | 显示全部楼层
谢谢啊
点评回复

使用道具 举报

发表于 2007-8-11 14:26:00 | 显示全部楼层
东西倒是好东西,可惜没有钱啊,。。。。。。。
点评回复

使用道具 举报

发表于 2008-4-23 10:23:00 | 显示全部楼层
[em01]买了,很实用,谢了!
点评回复

使用道具 举报

发表于 2008-7-10 23:24:00 | 显示全部楼层
买了,谢楼主。
点评回复

使用道具 举报

发表于 2009-2-17 11:40:00 | 显示全部楼层
好,不错,给大家分享下,哈哈
【文件名】:09217@52RD_06327@52RD_Xilinx ISE6[1].1i简明教程 (中文).rar
【格 式】:rar
【大 小】:825K
【简 介】:
【目 录】:

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
点评回复

使用道具 举报

发表于 2009-5-12 12:31:00 | 显示全部楼层

kankan

kankankankan
点评回复

使用道具 举报

发表于 2010-3-20 10:15:00 | 显示全部楼层
留个记号。先帮顶了。
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 22:30 , Processed in 0.052975 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表