找回密码
 注册
搜索
查看: 1316|回复: 1

[讨论] FPGA 内部调用参数化宏功能模块

[复制链接]
发表于 2010-3-5 15:29:23 | 显示全部楼层 |阅读模式
哪位大侠有关于“Quartus II 或 ISE调用参数化模块”的资料?
如:Quartus II怎么调用内部的ROM或FIFO?怎么设置参数?最后该怎样将该模块加入到我的verilog源程序中?我要的是加到verilog源程序中而不是原理图中。
文档也可以,如果视频教学文件更佳。
发表于 2010-3-31 22:53:40 | 显示全部楼层
QT里面有模版的,自己看看就知道了
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-5-6 20:26 , Processed in 0.050083 second(s), 16 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表