找回密码
 注册
搜索
查看: 1077|回复: 4

[讨论] 小弟在学习verilog时遇到困难了,请各位大侠指点,谢谢

[复制链接]
发表于 2006-3-24 11:05:00 | 显示全部楼层 |阅读模式
小弟初学Verilog,在学习中碰到这么一段程序

module  rom_16_X_4(rom_data,rom_addr);
output  [3:0]rom_data;
   input  [3:0]rom_addr;
     reg   [3:0]rom[15:0];

assign rom_data=rom[rom_addr];

initial $readmemb ( "rom_data_2bit_comparator.txt" ,rom,0,15);

endmodule

我知道这段程序是定义了字长为4位,16个字的rom,以及将文本文件的数据读取到rom中,
我的问题是,这个.txt文件是怎么写的?是什么样式?
请求指点,谢谢!
发表于 2006-3-28 13:31:00 | 显示全部楼层
<P>用记事本撒,写个数,空格,写个数,如此循环</P>[br]<p align=right><font color=red>+1 RD币</font></p>
点评回复

使用道具 举报

发表于 2006-3-30 19:56:00 | 显示全部楼层
<P>随便用什么编辑工具都可以,我最喜欢用UltraEdit,最好用回车将数字隔开</P>[br]<p align=right><font color=red>+1 RD币</font></p>
点评回复

使用道具 举报

发表于 2006-4-8 07:44:00 | 显示全部楼层
<P>好像记得都是存储为*.dat格式</P>
点评回复

使用道具 举报

发表于 2006-4-8 23:43:00 | 显示全部楼层
<P>我要向fpga中写入大量数据怎么办啊,比如说我要把一幅图片转出来得数据写入,数据是纪录在txt文件中的,能写出可综合的程序吗,期待中</P><P>请高手指点</P>[em04][em02][em02]
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-6-26 08:41 , Processed in 0.050185 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表