找回密码
 注册
搜索
查看: 1572|回复: 2

[FPGA资料] DC constraint and timing

[复制链接]
发表于 2009-9-28 16:10:42 | 显示全部楼层 |阅读模式
  【文件名】:09928@52RD_ConstraintsandTiming.pdf

  【格式】:pdf

  【大小】:2779K

  【简介】:

  【目录】:

  

  

   [/QUOTE][/QUOTE]

create_clock -period 100       [get_ports Pclk]
create_clock -period 100/8  -name pclkx8  [get_pins BUF2X2/Y]
create_clock -period 10  [get_ports Sclk]
create_generated_clock -name clk_div2 -divide_by 2 -source [get_attribute [get_ports Sclk] sources]  [get_pins DIV/clk_div2]
create_generated_clock -name clk_div4 -divide_by 4 -source [get_attribute [get_ports Sclk] sources]   [get_pins DIV/clk_div4]
create_generated_clock -name clk_div8 -divide_by 8  -source [get_attribute [get_ports Sclk] sources]   [get_pins DIV/clk_div8]
create_generated_clock -name Sclk1_l -comb -add -source [get_attribute [get_clocks pclkx8] sources] -master_clock pclkx8 [get_pins MUX1/Sclk1]
create_generated_clock -name Sclk1_h -comb -add -source [get_attribute [get_clocks clk_div2] sources] -master_clock clk_div2 [get_pins MUX1/Sclk1]
set_clock_group -logical -group clk_div2 -group pclkx8
create_generated_clock -name Sclk2_l -comb  -add -source [get_attribute [get_clocks clk_div8] sources] -master_clock clk_div8  [get_pins MUX1/Sclk2]
create_generated_clock -name Sclk2_h -comb  -add -source [get_attribute [get_ports Sclk] sources] -master_clock Sclk [get_pins MUX1/Sclk2]
发表于 2010-7-29 21:22:36 | 显示全部楼层
关键还是在于自身水平,技术高手的话工资不是问题~
, 不买你的~
点评回复

使用道具 举报

发表于 2011-3-7 22:19:48 | 显示全部楼层
东西还是不错的,顶
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-10-1 00:21 , Processed in 0.049232 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表