找回密码
 注册
搜索
查看: 3350|回复: 9

[讨论] FPGA刚上电时的管脚状态?

[复制链接]
发表于 2009-3-5 19:48:11 | 显示全部楼层 |阅读模式
FPGA芯片在刚上电还没有配置程序的时候各管脚是什么状态,是输入、输出、还是高阻?
发表于 2009-3-8 16:52:01 | 显示全部楼层
同问。。。等待高手解答[em01]
点评回复

使用道具 举报

发表于 2009-3-27 09:23:28 | 显示全部楼层
一般是三态的...
点评回复

使用道具 举报

发表于 2009-4-19 13:15:00 | 显示全部楼层
上电时的工作状态取决于你的设置,如果你代码中reset设置是1就是1,0就是0。没有设置默认0。
配置时的管脚目前除了几个配置管脚外,其它的基本不可控。我验证过不少是‘1’。是否也有0不清楚。
点评回复

使用道具 举报

发表于 2009-4-19 21:09:03 | 显示全部楼层
现在很多的FPGA有的是上电状态可配置的,可以再约束文件里面配置,但是如果你不设置的话,肯定就是三态了,
点评回复

使用道具 举报

发表于 2010-1-27 09:24:31 | 显示全部楼层
谢谢,学习中
点评回复

使用道具 举报

发表于 2010-6-26 09:18:28 | 显示全部楼层
上电不加载程序

基本都是高阻 至少xilinx是%
点评回复

使用道具 举报

发表于 2010-6-30 11:42:21 | 显示全部楼层
上电加载程序时后可控,由生成文件控制 ,可控制为上拉,下拉,浮空,加载程序时的中间状态由硬件控制 ,需要在控制脚焊接电阻,焊接上拉则中间状态为上拉,焊接下拉则为下拉,,一般不焊接则为高阻状态.
点评回复

使用道具 举报

发表于 2010-8-12 22:03:11 | 显示全部楼层
应该是高阻态
点评回复

使用道具 举报

发表于 2010-8-13 14:00:53 | 显示全部楼层
路过!受教了哈!!!!!!!!!!!!!
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-5-2 07:36 , Processed in 0.122693 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表