找回密码
 注册
搜索
查看: 870|回复: 2

[讨论] 关于测试模块

[复制链接]
发表于 2008-9-22 16:13:53 | 显示全部楼层 |阅读模式
我是菜菜菜菜鸟,请问如何在quartus里使用测试模块,我找到一个例子,里面有功能模块和测试模块,可功能模块能编译,就是不知道测试模块怎么来测试那个功能模块???
 楼主| 发表于 2008-9-23 08:25:53 | 显示全部楼层
怎么没人帮忙?! 是不是太简单了。我们公司也有搞这方面的,只是一个说他自己从来不用这个,一般他的程序是由小模块堆成的,他的小模块不用这样测试,是手动输入的波形文件。另一个也说不清楚。
点评回复

使用道具 举报

发表于 2009-2-7 00:50:32 | 显示全部楼层
我用的是modelsim来进行功能仿真,建议你也用它!
先把功能模块文件打开,然后再把测试模块(testbench)文件导入编译就可以了!
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 14:31 , Processed in 0.046158 second(s), 16 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表