找回密码
 注册
搜索
查看: 8293|回复: 43

[FPGA资料] 夏宇闻的Verilog数字系统设计教程电子书

[复制链接]
发表于 2008-4-3 08:39:21 | 显示全部楼层 |阅读模式
经典的Verilog数字系统设计教程,其24M(6部份)。
 楼主| 发表于 2008-4-3 08:46:23 | 显示全部楼层

Verilog数字系统设计教程

Verilog数字系统设计教程.part1
点评回复

使用道具 举报

 楼主| 发表于 2008-4-3 08:50:30 | 显示全部楼层

Verilog数字系统设计教程

Verilog数字系统设计教程.part1
【文件名】:0843@52RD_Verilog数字系统设计教程PDF .part1.rar
【格 式】:rar
【大 小】:3900K
【简 介】:
【目 录】:part1


点评回复

使用道具 举报

 楼主| 发表于 2008-4-3 08:52:43 | 显示全部楼层

Verilog数字系统设计教程.part2

Verilog数字系统设计教程.part2
【文件名】:0843@52RD_Verilog数字系统设计教程PDF.part2.rar
【格 式】:rar
【大 小】:3900K
【简 介】:
【目 录】:part2
点评回复

使用道具 举报

 楼主| 发表于 2008-4-3 08:54:57 | 显示全部楼层
Verilog数字系统设计教程.part3
【文件名】:0843@52RD_Verilog数字系统设计教程PDF.part3.rar
【格 式】:rar
【大 小】:3900K
【简 介】:
【目 录】:part3
点评回复

使用道具 举报

 楼主| 发表于 2008-4-3 08:56:17 | 显示全部楼层
Verilog数字系统设计教程.part4
【文件名】:0843@52RD_Verilog数字系统设计教程PDF.part4.rar
【格 式】:rar
【大 小】:3900K
【简 介】:
【目 录】:part4
点评回复

使用道具 举报

 楼主| 发表于 2008-4-3 08:58:32 | 显示全部楼层
Verilog数字系统设计教程.part5
【文件名】:0843@52RD_Verilog数字系统设计教程PDF.part5.rar
【格 式】:rar
【大 小】:3900K
【简 介】:
【目 录】:part5
点评回复

使用道具 举报

 楼主| 发表于 2008-4-3 08:59:37 | 显示全部楼层
Verilog数字系统设计教程.part6
【文件名】:0843@52RD_Verilog数字系统设计教程PDF.part6.rar
【格 式】:rar
【大 小】:3301K
【简 介】:
【目 录】:part6
点评回复

使用道具 举报

发表于 2008-4-3 09:15:44 | 显示全部楼层
我先存着.需要再买[em10]
点评回复

使用道具 举报

发表于 2008-4-4 08:53:32 | 显示全部楼层
xianak
点评回复

使用道具 举报

发表于 2008-4-29 08:47:08 | 显示全部楼层
不错的资料,收藏了!
点评回复

使用道具 举报

发表于 2008-5-30 19:55:57 | 显示全部楼层
应该会不错的,就是下载的有点慢[em10]
点评回复

使用道具 举报

发表于 2008-6-2 13:18:20 | 显示全部楼层
没钱了
点评回复

使用道具 举报

发表于 2008-6-2 13:23:03 | 显示全部楼层
怎么都要钱啊
点评回复

使用道具 举报

发表于 2008-6-2 13:23:31 | 显示全部楼层
既然要共享就免费提供啊
点评回复

使用道具 举报

发表于 2008-8-23 10:19:07 | 显示全部楼层
很棒!~[em02]
点评回复

使用道具 举报

发表于 2008-8-26 08:25:24 | 显示全部楼层
免费好了
点评回复

使用道具 举报

发表于 2008-9-9 17:17:49 | 显示全部楼层
下了,还没有看,据说还可以
点评回复

使用道具 举报

发表于 2008-9-9 17:18:45 | 显示全部楼层
应该象征性的收点钱就可以了
点评回复

使用道具 举报

发表于 2008-10-23 08:50:45 | 显示全部楼层
这么贵啊,免费的话就收下咯[em06]
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-6-15 20:16 , Processed in 0.056044 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表