找回密码
 注册
搜索
查看: 1126|回复: 0

[FPGA资料] quartus2中文教程

[复制链接]
发表于 2008-3-21 10:43:20 | 显示全部楼层 |阅读模式
目录
前言.................................................................................................................................................iii
文件编制约定..................................................................................................................................v
第 1 章: 可编程逻辑设计流程........................................................................................................ 1
简介.................................................................. 2
图形用户界面设计流程.................................................. 3
EDA 工具设计流程..................................................... 7
命令行设计流程....................................................... 11
命令行可执行文件............................................. 12
使用标准命令行命令和脚本..................................... 14
使用 Tcl 命令.................................................. 16
建立 Makefile 脚本............................................. 19
第 2 章: 设计输入.......................................................................................................................... 23
简介................................................................. 24
建立工程............................................................. 25
建立设计............................................................. 26
使用 Quartus II Block Editor .................................... 27
使用 Quartus II Text Editor ..................................... 28
使用 Quartus II Symbol Editor................................... 28
使用 Verilog HDL、VHDL 与 AHDL ............................ 29
使用 Altera 宏功能模块................................................ 30
使用知识产权 (IP) 函数......................................... 30
使用 MegaWizard Plug-In Manager .............................. 31
在 Quartus II 软件中对宏功能模块进行实例化..................... 32
在 Verilog HDL 和 VHDL 中实例化....................... 33
使用端口和参数定义.................................... 33
推断宏功能模块........................................ 33
在 EDA 工具中实例化宏功能模块................................ 33
使用 black-box 方法..................................... 33
按推断进行实例化...................................... 34
使用 clear-box 方法..................................... 34
指定初始设计的约束条件............................................... 36
使用 Assignment Editor ........................................ 36
使用 Settings 对话框........................................... 37
导入分配.............................................. 38
验证引脚分配.......................................... 39
设计方法与设计规划................................................... 39
从上到下与从下到上的设计方法比较............................. 39
基于块的设计流程............................................. 39
设计分割..................................................... 40
目录
VIII  QUARTUS II 简介ALTERA CORPORATION
第 3 章: 综合................................................................................................................................. 43
简介................................................................. 44
使用 Quartus II VHDL 及 Verilog HDL 集成综合..........................45
使用其它 EDA 综合工具................................................ 48
控制 Analysis & Synthesis .............................................. 50
使用编译器指令和属性.......................................... 50
使用 Quartus II 逻辑选项........................................50
使用 Quartus II 综合网表优化选项................................ 51
使用 Design Assistant 检查设计可靠性................................... 52
第 4 章: 仿真................................................................................................................................. 55
简介................................................................. 56
使用 EDA 工具进行设计仿真............................................ 57
指定 EDA 仿真工具设置......................................... 58
生成仿真输出文件.............................................. 59
仿真流程......................................................60
功能仿真流程........................................... 61
NativeLink 仿真流程.................................... 61
手动时序仿真流程....................................... 62
仿真库................................................. 62
使用 Quartus II 仿真器进行仿真设计.....................................64
指定 仿真器设置................................................ 64
进行仿真......................................................64
建立波形文件........................................... 65
进行 PowerGauge 功耗估算.............................. 66
仿真 Excalibur 设计.................................................... 66
在 Quartus II 软件中仿真 Excalibur 设计........................... 66
总线功能模型与 EDA 工具结合使用............................... 67
全带区模型与 EDA 工具的结合使用............................... 67
ESS 模型与 EDA 工具结合使用................................... 67
第 5 章: 布局布线.......................................................................................................................... 69
简介................................................................. 70
分析布局布线结果..................................................... 71
使用消息窗口查看布局布线结果.................................. 71
使用报告窗口或报告文件查看布局布线结果........................ 72
使用 Floorplan Editor 分析结果.................................. 74
使用 Design Assistant 检查设计的可靠性..........................75
优化布局布线.........................................................76
使用位置分配.................................................. 76
设置用于控制布局布线的选项.................................... 77
设置 Fitter 选项......................................... 77
设置布局布线优化与物理综合选项......................... 77
设置影响布局布线的逻辑选项............................. 78
使用设计空间管理器 (Design Space Explorer) ...................... 78
目录
ALTERA CORPORATION QUARTUS II 简介 IX
执行增量布局布线..................................................... 81
通过反标保留分配..................................................... 81
第 6 章: 基于块的设计.................................................................................................................. 85
简介................................................................. 86
Quartus II 基于块的设计流程........................................... 86
使用 LogicLock 区域.................................................. 87
保存中间综合结果..................................................... 91
反标 LogicLock Region Assignments ............................ 92
导出与导入 LogicLock 分配..................................... 92
LogicLock 与 EDA 工具结合使用........................................ 95
第 7 章: 时序分析.......................................................................................................................... 97
简介................................................................. 98
在 Quartus II 软件中进行时序分析....................................... 99
指定时序要求................................................. 99
指定工程范围的时序设置............................... 100
指定个别时序分配..................................... 101
进行时序分析................................................ 101
查看时序分析结果.................................................... 102
使用报告窗口................................................ 103
进行分配与查看延时路径...................................... 104
使用 EDA 工具进行时序分析.......................................... 106
使用 PrimeTime 软件.......................................... 106
使用 BLAST 和 Tau 软件....................................... 107
第 8 章: 时序逼近........................................................................................................................ 109
简介................................................................ 110
使用时序逼近布局图.................................................. 110
查看分配与布线.............................................. 111
执行分配.................................................... 112
使用网表优化实现时序逼近............................................ 113
使用 LogicLock 区域实现时序逼近..................................... 116
软 LogicLock 区域............................................ 116
基于路径的分配.............................................. 116
第 9 章: 编程与配置.................................................................................................................... 119
简介................................................................ 120
使用 Programmer 对一个或多个器件进行编程........................... 123
建立辅助编程文件.................................................... 124
建立其它编程文件格式........................................ 124
转换编程文件................................................ 126
使用 Quartus II 软件通过远程 JTAG 服务器进行编程...................... 129
目录
X  QUARTUS II 简介ALTERA CORPORATION
第 10 章: 调试..............................................................................................................................131
简介................................................................ 132
使用 SignalTap II 逻辑分析器........................................... 133
设置和运行 SignalTap II 逻辑分析器............................. 133
分析 SignalTap II 数据......................................... 135
使用 SignalProbe .....................................................138
使用 Chip Editor .....................................................140
第 11 章: 工程更改管理..............................................................................................................141
简介................................................................ 142
使用 Chip Editor 识别延时与关键路径................................... 143
使用 Resource Property Editor 修改资源属性............................. 145
使用Change Manager 查看和管理更改.................................. 146
验证 ECO 更改的效果................................................. 148
第 12 章: 系统级设计..................................................................................................................149
简介................................................................ 150
使用 SOPC Builder 建立 SOPC 设计..................................... 151
建立系统.....................................................152
生成系统.....................................................153
使用 DSP Builder 建立 DSP 设计........................................ 154
实例化功能................................................... 154
生成仿真文件................................................. 154
生成综合文件................................................. 154
第 13 章: 软件开发......................................................................................................................157
简介................................................................ 158
在 Quartus II 软件中使用 Software Builder............................... 158
指定软件构建设置.................................................... 159
生成软件输出文件.................................................... 159
生成闪存编程文件............................................. 161
生成无源编程文件............................................. 162
生成存储器初始化数据文件..................................... 163
第 14 章: 安装、许可与技术支持..............................................................................................165
安装 Quartus II 软件..................................................166
许可 Quartus II 软件..................................................167
获取技术支持........................................................168
第 15 章: 文档和其它资源..........................................................................................................171
获取联机帮助........................................................172
使用 Quartus II 联机教程.............................................. 173
其它 Quartus II 软件文档.............................................. 173
其它 Altera 文献...................................................... 174
修订历史记录...............................................................................................................................176
索引..............................................................................................................................................177
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 08:28 , Processed in 0.047230 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表