找回密码
 注册
搜索
查看: 1115|回复: 1

[讨论] 简单的七段译码管译码程序,编译不通过!救救处学者

[复制链接]
发表于 2006-1-23 19:10:00 | 显示全部楼层 |阅读模式
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;


ENTITY seg7 IS
PORT
     ( data:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
       disp:OUT STD_LOGIC_VECTOR(0 TO 6)
      );
END seg7;

ARCHITECTURE one OF seg7 IS
TYPE disTable IS ARRAY(NATURAL RANGE<>)OF STD_LOGIC_VECTOR(0 TO 6);
   CONSTANT display_table: disTable :=
           ( "1111110","0110000","1101101","1111001","0110011","1011011",
             "1011111","1110000","1111111","1111011","1110111","0011111",
             "1001110","0111101","1001111","1000111");
BEGIN
  disp<=display_table(conv_integer(data));
END ;

报错:aggregates are supported only for types that map to an array of bit .                        ??只支持BIT型数组??
我是在MAX+PLUS 2 下编译的,是书上的例子.各位大虾来帮帮我这个初学者吧!!!
发表于 2006-2-2 10:12:00 | 显示全部楼层
<P>USE IEEE.numeric_std.all</P><P>disp &lt;= display_table(to_integer(unsigned(data)));</P>
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 04:53 , Processed in 0.048471 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表