找回密码
 注册
搜索
查看: 880|回复: 2

[FPGA资料] 用VHDL语言的CPLD器件设计

[复制链接]
发表于 2008-1-7 12:46:56 | 显示全部楼层 |阅读模式
用VHDL语言的CPLD器件设计
【文件名】:0817@52RD_用VHDL语言的CPLD器件设计.rar
【格 式】:rar
【大 小】:11K
【简 介】:
【目 录】:

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
发表于 2008-1-9 18:53:40 | 显示全部楼层
感謝你的提供..我目前正要寫相關CPLD的程式...在次感謝你的分享
[em01]
点评回复

使用道具 举报

发表于 2008-3-26 12:13:18 | 显示全部楼层
谢谢免费分享!!我的东西都是免费啊!![em08][em08][em08]
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 08:41 , Processed in 0.047030 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表