找回密码
 注册
搜索
收藏本版 (3)|订阅

SOC芯片设计 今日: 0|主题: 4442|排名: 46 

作者 回复/查看 最后发表
FPGA Performance DSP Functions realkyk 2007-5-23 0542 realkyk 2007-5-23 10:47
Principles Of Verifiable RTL Design[1].2nd.Edition(OVL就是基于本书的原理) realkyk 2007-5-23 0677 realkyk 2007-5-23 10:29
一本好书_VHDL.Programming.by.Example.4th.Ed. bisonone 2007-5-22 0594 bisonone 2007-5-22 23:47
请教xilinx fpga, ise7.1 hope2000 2007-5-22 0579 hope2000 2007-5-22 22:45
集成电路的后端设计 zhangc03 2006-7-26 4952 ohyoung 2007-5-22 21:08
Aldec中调用XIlinxCore设计DCM存在的问题及解决方案 janeyniss 2006-3-21 3971 cqm239 2007-5-22 17:20
CAX软件资料网站http://zhangqg.51.net wsx345 2007-5-22 0778 wsx345 2007-5-22 10:30
智原科技(上海)招聘上海ASIC技术顾问工程师 buildgates 2007-4-28 21067 buildgates 2007-5-22 00:59
一本书See MIPs Run(英文版) sleeprabbit 2007-5-21 21025 sleeprabbit 2007-5-21 16:41
EDA与ASIC技术课程(很不错的!) seaman516 2007-5-21 0712 seaman516 2007-5-21 09:40
目前市场上MAXII芯片价位怎么样? swordlife 2006-11-1 4971 cavalry_chen 2007-5-20 17:30
reg & wire的区别zz ninaly 2006-6-30 2935 lb33851788 2007-5-18 22:54
哪位仁兄给我发一份CMOS工艺仿真模型啊,感激不尽! bossyubiao 2006-12-20 1652 joker8210 2007-5-18 20:43
abbr_ Building A Risc System In An Fpga, Circuit Cellar Issue 116-117, April GRein 2007-4-22 1787 buildgates 2007-5-18 07:52
串行通讯的实现(附source code)maxplusII做的 Kevinmey 2006-4-14 1713 2407 2007-5-17 16:35
求助: 用FPGA实现IJF编码 like19841104 2007-5-16 0535 like19841104 2007-5-16 21:01
sopc资料 ylp715 2007-3-4 1827 bengbeng8482 2007-5-16 12:58
请问小波变换是什么? wopper 2006-3-11 71146 fpgastudent 2007-5-16 12:15
码流计算 zyfeng 2007-5-16 0644 zyfeng 2007-5-16 10:53
求助:如何实现FPGA与FLASH的连接? leio 2007-5-15 0698 leio 2007-5-15 21:52
小弟求救 ls136112 2007-5-14 0699 ls136112 2007-5-14 11:41
fgpa讲义 hzg_721 2006-10-25 4752 jynh11 2007-5-14 09:48
请问FPGA管脚置为高阻状态用示波器测出来是什么状态啊?我测试下来为什么是高电平啊 asicer 2007-4-14 2668 Featured 2007-5-13 16:41
FPGA之执行速度问题 albert 2007-3-7 3655 Featured 2007-5-13 16:40
请教VHDL设计密码锁的程序和硬件图 zyx2007 2007-5-12 0634 zyx2007 2007-5-12 12:04
这样的设计宏单元够用吗? proe 2007-5-11 1531 Featured 2007-5-12 11:08
xilinx spartan 3e 资料 zqs5476 2007-5-11 1533 zqs5476 2007-5-11 13:00
Xilinx首届创新设计大赛开始火热报名了 superyan 2007-5-11 1757 superyan 2007-5-11 11:43
Q Ⅱ6.0增量编译培训教程 mo_ren 2006-7-30 7855 QIAOZHANG 2007-5-9 23:18
请问:小弟初入此行FPGA应该从什么地方学习起? countryson 2006-12-27 3861 cuitjxg 2007-5-9 13:39
关于开发板 feilongf91 2007-3-20 1688 cuitjxg 2007-5-9 13:33
请教高手关于cpld的问题 hypetrel 2006-10-26 2621 skyside 2007-5-9 12:55
Coding style SZ007 2007-5-9 0555 SZ007 2007-5-9 12:43
求UART的MODELSIM的仿真步骤(方法) alvanyoung 2007-4-14 1751 edan123 2007-5-8 12:32
厉害厉害 yashuaishuai 2007-5-8 0568 yashuaishuai 2007-5-8 11:48
谁有 XC2S100E 开发板的 完整资料啊?? nanotalk 2007-1-24 3780 yf654987 2007-5-6 03:20
诚邀FPGA设计高手加盟 wgxaeric 2007-5-5 0632 wgxaeric 2007-5-5 20:28
FPGA_XC4VLX80 (FF1148) daviddeng 2007-5-4 0662 daviddeng 2007-5-4 16:03
UART--verilog源代码--free! edan123 2007-5-4 0557 edan123 2007-5-4 14:19
ic设计入门 guilinwxb 2007-5-1 1854 guilinwxb 2007-5-4 10:16
下一页 »

快速发帖

还可输入 80 个字符
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 20:30 , Processed in 0.085831 second(s), 13 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

返回顶部 返回版块