找回密码
 注册
搜索
查看: 758|回复: 0

[讨论] 利用Verilog在Fpga上生成SPI接口的虚拟SPI Flash,请大家发表一下各自的思路

[复制链接]
发表于 2007-8-17 10:48:42 | 显示全部楼层 |阅读模式
[em12]
`timescale 1ns/1ps
module SPI_SLAVE
                (
                 input   nSS,
                 input   SCK,
                 input   MOSI,
                 output  MISO
                );
reg  [15:0] MOSI;
reg  [15:0] MISO;

reg  Rd;
reg  Wr;
reg  [7:0] Address;
reg  [15:0] Din;
reg  [15:0] Dout;
reg  [15:0] Mem [0:1024]

always @(posedge(SCK))
begin
   if(nSS==0)
     begin
       Din <= MOSI;
       if(Din[7:0]==8'h02h)
         begin
           Wr <= 1'b1;
         end
       else if(Din[7:0]==8'h03h)
         begin
           Rd <= 1'b1;
         end  
     end
end

always @(posedge(SCK))
begin
   if(nSS==0)
     begin
       if(Wr==1)
         begin
           .
           .
         end
     end
end

endmodule
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 02:18 , Processed in 0.051018 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表