找回密码
 注册
搜索
查看: 717|回复: 4

[讨论] 请教关于altera cpld的问题,很是奇怪!!!

[复制链接]
发表于 2007-8-10 14:41:39 | 显示全部楼层 |阅读模式
我用的是altera的epm570,它的输入数据地址是挂在总线上的,由arm控制的,还有读写,片选信号。原先是好好的,后来程序改了一点地方,我用quartus仿真功能正常,但是下到板子上后就没有输出了,那点改动就是使布线发生了些变化,其他没有变化。
布线的变化会使输入的读写,片选,数据等信号的时序不对了吗?
请高手指教下!谢谢!!!
发表于 2007-8-10 15:31:45 | 显示全部楼层
要做后仿真(时序仿真),你的仿真应该是前仿吧
点评回复

使用道具 举报

 楼主| 发表于 2007-8-10 15:46:27 | 显示全部楼层
我做的就是时序仿真。
点评回复

使用道具 举报

发表于 2007-8-14 08:33:59 | 显示全部楼层
路过看看......................................
点评回复

使用道具 举报

发表于 2007-9-13 23:56:00 | 显示全部楼层
问题不是特别清楚,感觉是你代码出问题了!能不能说明出错是那些?还有可以把信号用示波器抓出来比较一下!
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 02:18 , Processed in 0.053822 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表