找回密码
 注册
搜索
查看: 1367|回复: 5

[讨论] FPGA学习提高参考内容

[复制链接]
发表于 2007-4-10 11:17:28 | 显示全部楼层 |阅读模式
浙江大学FPGA学习班时间2007年4月25日-29日
2007年5月20-24日
联系人:邱老师 13989834654, 0571-85622860,0571-88230155(晚上)
FPGA高级工程师培训班
课程说明:以ALTERA公司的产品及集成开发环境为主线,讲授CPLD/FPGA的设计方法,程序设计,硬件设计和逻辑设计.
时间内  容时间内  容
第一天
CPLD/FPGA基础知识
(1) CPLD/FPGA的发展现状和发展趋势,各大厂商的CPLD/FPGA的异同.
(2) Altera CPLD/FPGA 集成开发工具及第三工具介绍.
(3) Altera CPLD的基本结构及演变。MAX 7000,MAX 3000A,MAX II器件.
(4) Altera FPGA的基本结构及演变。Cyclone, Stratix, Cyclone II, Stratix II器件.
(5) CPLD/FPGA的设计流程简介,及其各个步骤之间的关系..
(6) CPLD/FPGA的加载电路.JTAG/PS/AS及CPU加载电路与时序要求
(7) CPLD与FPGA的异同.
(8) PLD/FPGA IO电平兼容原则.第二天l          硬件描述语言
(1) Verilog 和HDL
l          Verilog设计流程
l          程序结构
l          词法
数据类型(2) 常用RTL描述语句.
(3) 时钟设计思路与时钟描述方法.
(4) 信号(Signal)与变量(Variable) 的区别.
(5) 进程(Process)管理方法.
(6) VHDL程序设计的误区.
(7) 双向数据总线问题.
(8) 三态电路.
(9) 编程实验与功能仿真实验.
第三天
仿真,综合与布局布线
(1)设计输入方法.(原理图,HDL语言,网表输入,宏模块,IP Core).
(2)逻辑综合的原则,速度优化与面积优化.
(3)逻辑综合与RTL电路.
(4)布局布线规则.
(5)Chip Editor查看技巧.
(6)LogicLock(逻辑锁定)技术.
(7)Signaltap在线逻辑分析仪调试技术.
(8)实验与答疑.
硬件设计与逻辑设计
(1) Pin To Pin 兼容设计.
(2) PCB布线的注意事项.
(3) 系统调试步骤.
(4) 分析工程实例.
(5) 基于CPLD/FPGA开发板实验.第四天NIOS II 设计
(1) SOPC Builder环境介绍.
(2) NIOS II 硬件设计.
(3) NIOS II 硬件调试方法.
(4) NIOS II 软件设计,NIOS II IDE 的使用.
(5) NIOS II 软件调试.
(6) 用户自定义外设.
(7) 编程Flash.
(8) 实验演示.
数字电路设计
(1) 数字电路设计规则.
(2) 数字电路的基本参数.
(3) 模块划分原则.
(4) 同步数字电路设计.
(5) 接口电路的处理原则.
(6) 避免使用Latch电路.
第五天接口电路功能与处理原则
(1) 接口处理电路使用的基本元素
(2) 输入接口电路
(3) 输出接口电路
(4) 双向接口电路
(5) 加法器/减法器/比较器
(6) 移位器/移位寄存器
(7) 数据流处理同步电路设计
(1) 设计可靠性
(2) 时序分析基础
(3) 同步电路设计
(4) 置位/复位信号处理
(5) 时延电路处理
(6) 全局信号处理
(7) 时序设计可靠性的保障措施
(8) 时钟设计策略
.

1、   以上内容根据学员反映和实际情况作实时调整,每次课程都配有相关联实验。
.
发表于 2007-8-22 11:39:24 | 显示全部楼层
内容倒是挺好的
点评回复

使用道具 举报

发表于 2007-9-27 15:25:07 | 显示全部楼层
[em13]为什么全是广告,没有实在东西???
点评回复

使用道具 举报

发表于 2007-11-15 19:02:18 | 显示全部楼层
这本书不够系统啊[em07][em07]
点评回复

使用道具 举报

发表于 2007-12-1 14:26:14 | 显示全部楼层
培训一次得多少钱啊?
点评回复

使用道具 举报

发表于 2007-12-25 15:21:04 | 显示全部楼层
呵呵, 广告
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 06:36 , Processed in 0.077858 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表