找回密码
 注册
搜索
查看: 688|回复: 1

[讨论] 关于开发板

[复制链接]
发表于 2007-3-20 23:10:49 | 显示全部楼层 |阅读模式
小弟想买块开发板练练手,请问XILINX和ALTERA的开发板哪个比较好些
还有,关于这方面的设计上手是先做CPLD好呢还是先做FPGA的?
发表于 2007-5-9 13:33:55 | 显示全部楼层
我这里有块学习板想卖
学习的话CPLD,FPGA都是可以的,CPLD的板子要便宜些(当然,要看什么芯片的)
我这块板是altera的
QQ:112838599
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 22:26 , Processed in 0.044328 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表