找回密码
 注册
搜索
查看: 753|回复: 3

[讨论] verilog中的`timescale

[复制链接]
发表于 2007-3-9 13:10:57 | 显示全部楼层 |阅读模式
請問一下
我從書上看到它的用法是
`timescale reference_time_unit/time_precision
可是還是不懂它的意思....||||
可以告訴我reference_time_unit跟time_precision的關係跟例子嗎
謝謝
发表于 2007-3-9 20:22:18 | 显示全部楼层
前者是参考时间单位,后者是精度

比如 100ns/1ns

是说每个时间单位为  100ns,精度 是 1ns
点评回复

使用道具 举报

发表于 2007-3-13 15:46:05 | 显示全部楼层
楼上说得对
点评回复

使用道具 举报

发表于 2007-4-30 16:32:37 | 显示全部楼层
对的。
这些参数是给仿真器用,逻辑综合时没有用。
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 20:27 , Processed in 0.047028 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表