找回密码
 注册
搜索
查看: 2078|回复: 15

[讨论] OV7670 SCCB问题

[复制链接]
发表于 2007-2-5 15:28:28 | 显示全部楼层 |阅读模式
SCCB电压3V,上拉电阻4.7K。现在遇到的问题是读写都不成功,Don't care Bit始终为高电平,写的数据不起作用,读寄存器0X0B的值应该为0X70,但是我读出来的始终是0XFF。图像数据按照寄存器默认设置可以读出来。现在郁闷好长时间了,搞不明白是什么原因了,还请高手给于指导与解答。先谢谢了!
 楼主| 发表于 2007-2-5 15:28:28 | 显示全部楼层 |阅读模式
SCCB电压3V,上拉电阻4.7K。现在遇到的问题是读写都不成功,Don't care Bit始终为高电平,写的数据不起作用,读寄存器0X0B的值应该为0X70,但是我读出来的始终是0XFF。图像数据按照寄存器默认设置可以读出来。现在郁闷好长时间了,搞不明白是什么原因了,还请高手给于指导与解答。先谢谢了!
 楼主| 发表于 2007-2-5 19:03:18 | 显示全部楼层
怎么没有人呀?有那位高手能指导一下呀?
点评回复

使用道具 举报

发表于 2007-2-5 21:38:12 | 显示全部楼层
我的板子上是用GPIO模拟SCCB时序的, 没有示波器, 调试时也是郁闷的要死.

后来只好用万用表, 一个个地画出时序图, 把周期调到2秒, 才缓慢地检查出问题产生的原因.

楼主也用这种办法检查吧, 哈哈.
点评回复

使用道具 举报

 楼主| 发表于 2007-2-5 22:07:24 | 显示全部楼层
可否告知您所查出的原因呀?
点评回复

使用道具 举报

 楼主| 发表于 2007-2-5 19:03:18 | 显示全部楼层
怎么没有人呀?有那位高手能指导一下呀?
点评回复

使用道具 举报

发表于 2007-2-5 21:38:12 | 显示全部楼层
我的板子上是用GPIO模拟SCCB时序的, 没有示波器, 调试时也是郁闷的要死.

后来只好用万用表, 一个个地画出时序图, 把周期调到2秒, 才缓慢地检查出问题产生的原因.

楼主也用这种办法检查吧, 哈哈.
点评回复

使用道具 举报

 楼主| 发表于 2007-2-5 22:07:24 | 显示全部楼层
可否告知您所查出的原因呀?
点评回复

使用道具 举报

 楼主| 发表于 2007-2-6 11:12:05 | 显示全部楼层
那样还是不行呀!可否有高手给予提示呀?
点评回复

使用道具 举报

发表于 2007-2-9 10:54:56 | 显示全部楼层
HW_SCCB OR SW_SCCB?
点评回复

使用道具 举报

发表于 2007-2-9 13:31:32 | 显示全部楼层
牛B的 !2楼的用 万用表 也能量 IIC时序,开眼了!!  你把IIC速度降到0.5Hz,强啊。

另外问下楼主 Don't care Bit啥意思  觉得应该是ACK信号 是不?
点评回复

使用道具 举报

发表于 2007-2-9 14:52:31 | 显示全部楼层
哈哈, 人民群众的智慧是无穷的嘛. 我的确是用万用表调出来的,

Don't Care Bit是SCCB的叫法, ACK信号是IIC的叫法. IIC要求识别ACK信号, 但是SCCB不识别, 所以叫Don't Cart Bit.
点评回复

使用道具 举报

发表于 2007-11-23 15:28:14 | 显示全部楼层
尝试不同的SCCB波特率,,建议是把波特率往低调试,并且注意每写一个寄存器都要延时一会。
点评回复

使用道具 举报

发表于 2007-11-24 01:29:03 | 显示全部楼层
I2C can support 400k bit rate, however, we strongly suggest you to use less 100 bit rate for SCCB
点评回复

使用道具 举报

发表于 2007-11-28 17:12:05 | 显示全部楼层

SCCB图

有9个时钟  一个为无关位  8为数据[upload=jpg]UploadFile/2007-11/071128@52RD_新建 Windows位图图像.JPG[/upload]

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
点评回复

使用道具 举报

发表于 2007-11-30 09:19:34 | 显示全部楼层
会不会是sensor地址的问题?
有的驱动在处理sensor地址的时候会将其左移一位(乘2),所以传入的sensor地址必须是除以2后的值,你可以试试看。
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-10-6 15:20 , Processed in 0.052305 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表