找回密码
 注册
搜索
查看: 1753|回复: 0

[讨论] 本人有一程序逻辑仿真通过,但时序仿真有问题,请高手指点

[复制链接]
发表于 2006-12-26 16:07:43 | 显示全部楼层 |阅读模式
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity fenpin is  
port(clk :in std_logic;
     ad_clk,fifo_clk :out std_logic;
     ad_fif out std_logic);
end;
architecture clk of fenpin is
begin
process(clk)
variable x :std_logic:='0';
begin
if clk'event and clk='1'  then
    x:=not x;
end if;
ad_fifo<=x;
ad_clk<=x;
fifo_clk<=x;
end process;
end clk;
单选投票, 共有 0 人参与投票

距结束还有: 3511 天21 小时44 分钟

您所在的用户组没有投票权限
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 18:23 , Processed in 0.055089 second(s), 19 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表