找回密码
 注册
搜索
查看: 1097|回复: 13

[讨论] 求助:这个程序太诡异了!

[复制链接]
发表于 2006-11-15 17:53:24 | 显示全部楼层 |阅读模式
我用的是ISE8.1  里面自带的仿真工具来仿真这个四选一数据选择器,里面仿真出来的波形文件总是不正确。不知道是为什么?

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity sxone is
port ( clk : in std_logic;
      s4,s3,s2,s1: in std_logic;
      z4,z3,z2,z1: out std_logic);
end sxone;
architecture Behavioral of sxone is
signal sel: integer range 0 to 15 ;
begin
process(clk)
   begin   
   
if clk='1' and clk'event then
  sel<=0;
    if(s1='1') then
   sel<=sel+1;
    elsif (s2='1') then
   sel<=sel+2;
    elsif (s3='1') then
   sel<=sel+4;
    elsif (s4='1') then
   sel<=sel+8;
  else null ;
    end if;
    z1<='0';z2<='0';z3<='0';z4<='0';
    case sel is
       when 0 => z1<='1';
       when 1|3 => z2<='1';
       when 4 to 7|2 => z3<='1';
       when others => z4<='1';
    end case;
end if;
end process ;
end Behavioral;
发表于 2006-11-17 08:32:14 | 显示全部楼层
四选一这样编也太麻烦了吧,几句话就可以实现的东西
点评回复

使用道具 举报

发表于 2006-11-17 11:10:22 | 显示全部楼层
你太诡异了!
点评回复

使用道具 举报

发表于 2006-11-17 11:14:08 | 显示全部楼层
把信号改为变量后,我仿真出来了,但不知道仿真图怎么往论坛上发.
这个程序你为什么要用一个时钟?我就纳闷了?四选一本来就是一个组合电路
点评回复

使用道具 举报

 楼主| 发表于 2006-11-17 15:39:09 | 显示全部楼层
谢谢阿 谢谢[em01]
点评回复

使用道具 举报

发表于 2006-11-17 15:48:09 | 显示全部楼层
不客气了小妹妹!!![em05]
点评回复

使用道具 举报

发表于 2006-11-27 11:33:30 | 显示全部楼层
太厉害了!
点评回复

使用道具 举报

发表于 2006-11-27 22:06:41 | 显示全部楼层
dao!!!
点评回复

使用道具 举报

发表于 2007-1-5 19:18:27 | 显示全部楼层
[em02]SEL的可能值不是只有0.1,2,4,8吗??为什么有其他的值呢??我搞不懂啊!不知道这里还有没有人来啊..解释下!~~~
点评回复

使用道具 举报

发表于 2007-1-5 19:41:58 | 显示全部楼层
sel的可能值为什么只有0 1 2 4 8阿,它可以取 0到15的任何值 ,比如,如果s1输入一直为1,那么sel就会遍历0到15。。
点评回复

使用道具 举报

发表于 2007-1-6 13:12:44 | 显示全部楼层
恩..似乎明白了!~~但是,这个是四选一吗?四选一好像是四个输入...两个选通信号...一个输出啊!~这个是吗?[em08]
点评回复

使用道具 举报

发表于 2007-1-11 10:12:04 | 显示全部楼层
[em01]
点评回复

使用道具 举报

发表于 2007-1-19 09:27:57 | 显示全部楼层
典型的把简单问题复杂化的做法[em07]
点评回复

使用道具 举报

发表于 2007-1-19 09:27:57 | 显示全部楼层
典型的把简单问题复杂化的做法[em07]
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 18:24 , Processed in 0.047703 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表