找回密码
 注册
搜索
查看: 1548|回复: 11

[讨论] 请问各位IC设计人员

[复制链接]
发表于 2006-9-29 13:15:00 | 显示全部楼层 |阅读模式
在实际的设计过程中,对于RTL级电路用ModelSim防真工具的多吗?
发表于 2006-10-7 18:39:00 | 显示全部楼层
很多的,是个好工具!
点评回复

使用道具 举报

发表于 2006-10-9 10:02:00 | 显示全部楼层
建议用ncverilog吧,modelsim实在太慢(对于大型设计)[em01]
点评回复

使用道具 举报

 楼主| 发表于 2006-10-9 10:50:00 | 显示全部楼层
谢谢楼上的!
点评回复

使用道具 举报

发表于 2006-10-10 14:51:00 | 显示全部楼层
挺好用的,我一直在用它,嘿嘿。
我觉得也很快的(芯片规模4M gate)
点评回复

使用道具 举报

 楼主| 发表于 2006-10-12 15:35:00 | 显示全部楼层
请问楼上做什么的呢?
点评回复

使用道具 举报

发表于 2006-10-13 08:39:00 | 显示全部楼层
一般小型的设计用吧,大的设计和大公司一般都不用的
你用过如ncverilog等之后再去用modelsim,就不想用了
点评回复

使用道具 举报

发表于 2006-10-18 12:37:00 | 显示全部楼层
在微机上用,在工作站上不用这个
点评回复

使用道具 举报

发表于 2006-11-3 10:20:00 | 显示全部楼层
[em11]
点评回复

使用道具 举报

发表于 2006-11-11 13:22:00 | 显示全部楼层
ModelSim一般在windows中用,在工作站中一般都是NC-Verilog和VCS吧
点评回复

使用道具 举报

发表于 2006-12-17 12:44:00 | 显示全部楼层
NC-Verilog好用一些
点评回复

使用道具 举报

发表于 2006-12-24 21:42:00 | 显示全部楼层
[信息]设计软件及IP新品介绍、评测。

http://fifs.isgreat.org/wind
http://www.fifs.hostfreeweb.info/wind

设计软件及IP新品介绍、评测论坛。

部分列表:
Tanner L-Edit 12.10 full CD
Agi1ent 2006 全系列 full CD
WindR1ver VxW0rks v6全系列full CD
ARM Re@lView/Addon 全系列full CD
Telel0gic TAU2.5/DOORS 全系列

Altera v6.0/v6.1 Linux/Solaris/Windows平台
    Megac0re v6.0/v6.1 Linux/Solaris/Windows平台
    NIOS 零售版 Linux/Solaris/Windows平台
    DSP Builder 零售版 Linux/Solaris/Windows平台
    CAST/PLDA等AMPP core源码。

C@dence
AES1x APTIVIAx USIMx IC5x CADMOSx ICCx VCEx CONFRMLx
NEOCELLx SPWx ISVx xxx
Linux/Solaris/Windows/64/32平台

Ment0r
Calibrx ISD xxx 2005/2006 Linux/Solaris/Windows/64/32平台

$ynopsys
Astr0 Syn Tx Hsp1se xxx 2005/2006 Linux/Solaris/Windows/64/32平台

Xi1inx 1SE/1SD/Ch1pscope/Pl@nAhead 8 Linux/Solaris/Windows平台
    LogiCore 8 全系列 Linux/Solaris/Windows平台

Synp1ify Pro/DSP/Amp1ify 8 Linux/Solaris/Windows平台
N0vas Debussy/Verbi/nLint/Laker 2006 Linux/Solaris/Windows平台
HSIM 2006 Linux/Solaris/Windows平台

CodeWarrior HC08/HC12/FreeScale/Intel 全系列。
IAR Embedded WS 各种平台全系列。

各种IPcore源码。

更多信息:

http://fifs.isgreat.org/wind
http://www.fifs.hostfreeweb.info/wind
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 18:22 , Processed in 0.061009 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表