找回密码
 注册
搜索
查看: 913|回复: 4

[FPGA资料] 这段VHDL程序错哪里错了?

[复制链接]
发表于 2006-8-28 17:25:00 | 显示全部楼层 |阅读模式
p1:process(A,B)
          begin
          C<=A and B;
          D<=A or C;
        end process p1;
进程中的顺序信号赋值语句怎么理解和应用啊?
[em13]
 楼主| 发表于 2006-8-28 17:26:00 | 显示全部楼层
A B C D都是已经定义好的信号端口
点评回复

使用道具 举报

发表于 2006-9-9 15:38:00 | 显示全部楼层

FW

当进程的敏感量A,B其中之一发生变化时,顺序执行进程内的语句,前面的语句先执行。
点评回复

使用道具 举报

发表于 2007-9-20 16:38:00 | 显示全部楼层
在设置一个变量,就行了
点评回复

使用道具 举报

发表于 2007-9-22 21:56:00 | 显示全部楼层
楼上的,怎么还需要再设置一个变量?
我觉得二楼说的极对,至于三楼说的变量,不需要!
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 02:19 , Processed in 0.057846 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表