找回密码
 注册
搜索
查看: 746|回复: 0

[ARM资料] The LEON-2 Processor User’s Manual & vhdl source code

[复制链接]
发表于 2006-7-25 13:09:00 | 显示全部楼层 |阅读模式
The LEON VHDL model implements a 32-bit processor conforming to the IEEE-1754
(SPARC V8) architecture. It is designed for embedded applications with the following
features on-chip: separate instruction and data caches, hardware multiplier and divider,
interrupt controller, debug support unit with trace buffer, two 24-bit timers, two UARTs,
power-down function, watchdog, 16-bit I/O port and a flexible memory controller. New
modules can easily be added using the on-chip AMBA AHB/APB buses. The VHDL model is fully synthesisable with most synthesis tools and can be implemented on both FPGAs and ASICs. Simulation can be done with all VHDL-87 compliant simulators.

【文件名】:06725@52RD_leon_vhdl.rar
【格 式】:rar
【大 小】:857K
【简 介】:The LEON VHDL model implements a 32-bit processor conforming to the IEEE-1754
(SPARC V8) architecture. It is designed for embedded applications with the following
features on-chip: separate instruction and data caches, hardware multiplier and divider,
interrupt controller, debug support unit with trace buffer, two 24-bit timers, two UARTs,
power-down function, watchdog, 16-bit I/O port and a flexible memory controller. New
modules can easily be added using the on-chip AMBA AHB/APB buses. The VHDL model is fully synthesisable with most synthesis tools and can be implemented on both FPGAs and ASICs. Simulation can be done with all VHDL-87 compliant simulators.
【目 录】:


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 08:12 , Processed in 0.046032 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表