找回密码
 注册
搜索
查看: 832|回复: 2

[讨论] verilog里面怎么对一个输出信号进行初始置位?

[复制链接]
发表于 2006-5-25 08:37:00 | 显示全部楼层 |阅读模式
CPLD芯片本身可能上电后自己置位低电平,但我需要上电置位高电平。
xiexie
发表于 2006-5-29 16:44:00 | 显示全部楼层
用 initial 应该就可以了
点评回复

使用道具 举报

发表于 2006-6-2 18:45:00 | 显示全部楼层
<P>initial 只能用于仿真,我看lz的意思是在做实现时应该怎么办。</P><P>不知道lz的输出时组合逻辑输出还是寄存器输出?</P>
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-29 12:22 , Processed in 0.062138 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表