找回密码
 注册
搜索
查看: 915|回复: 3

[FPGA资料] Verilog实例 产生正弦波

[复制链接]
发表于 2006-4-18 12:31:00 | 显示全部楼层 |阅读模式
【文件名】:06418@52RD_sine.rar
【格 式】:rar
【大 小】:104K
【简 介】:正弦 实例
【目 录】:无目录


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
发表于 2006-5-22 11:46:00 | 显示全部楼层
<P>上当了呀</P>
点评回复

使用道具 举报

发表于 2006-5-23 21:36:00 | 显示全部楼层
<P> 怎么说,东西不好吗?</P>
点评回复

使用道具 举报

发表于 2007-8-23 17:20:00 | 显示全部楼层
是好的吧,我还不能下呢
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 02:15 , Processed in 0.047330 second(s), 19 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表