找回密码
 注册
搜索
查看: 940|回复: 0

[FPGA资料] 发点fpga实现浮点的资料

[复制链接]
发表于 2006-4-10 20:01:00 | 显示全部楼层 |阅读模式
【文件名】:06410@52RD_符点运算.rar
【格 式】:rar
【大 小】:83K
【简 介】:[摘 要]  介绍了用VHDL 语言在硬件芯片上实现浮点加/ 减法、浮点乘法运算的方法,并以Altera公司的FLEX10K系列产品为硬件平台,以Maxplus II 为软件工具,实现了6 点实序列浮点加/ 减法运算和浮点乘法运算.
[关键词]  超高速集成电路硬件描述语言VHDL ;  浮点运算;  复杂可编程逻辑器件CPLD/ FPGA
现场可编程门阵列
Vol . 23 No. 5
© 1995-2004 Tsinghua Tongfang Optical Disc Co., Ltd. All rights reserved.
【目 录】:
1.浮点数格式简介
2.浮点加/ 减法器的设计和测试
3.浮点乘法器的设计和测试
4. 结果分析与讨论


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-6-26 07:39 , Processed in 0.047241 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表