找回密码
 注册
搜索
查看: 886|回复: 0

[FPGA资料] 用VHDL语言实现ALU的算术运算单元

[复制链接]
发表于 2006-4-10 18:27:00 | 显示全部楼层 |阅读模式
【文件名】:06410@52RD_用VHDL语言实现ALU的算术运算单元.rar
【格 式】:rar
【大 小】:19K
【简 介】:摘要:介绍了利用可编程逻辑器件实现高速8 位ALU 的算术运算单元的设计方法,并且给出了算术运算单元的顶层原理图和用VHDL 语言编写其子模块的程序.
关键词:算术逻辑存储单元;VHDL 语言;CPLD
【目 录】:
1 总体设计
2 模块设计
3 VHDL 语言设计
4 结束语


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-6-26 07:34 , Processed in 0.048520 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表