找回密码
 注册
搜索
查看: 1482|回复: 1

[讨论] 基于Xilinx ISE的仿真

[复制链接]
发表于 2009-12-16 21:16:07 | 显示全部楼层 |阅读模式
在代码编写完成后,需要借助于测试平台来验证所设计的模块是否满足要求。ISE提供了两种测试平台的建立方法,一种是使用HDL Bencher的图形化波形编辑功能编写,另一种就是利用HDL语言。下面介绍测试波形法。

在ISE中创建testbench波形,可通过HDL Bencher修改,再将其和仿真器连接起来,再验证设计功能是否正确。首先在工程管理区将Sources for设置为Behavioral Simulation,然后在任意位置单击鼠标右键,在弹出的菜单中选择“New Source”命令,然后选中“Test Bench Wavcform”类型,输入文件名,点击next进入下一页。这是,工程中所有的Verilog Module的名称就会ianshi出来,设计人员需要需按则要进行测试的模块。完成后HDL Bencher程序自动启动,等待用户输出所需的时序要求。


点击OK按钮,接受默认的时间设定。测试矢量波形。

接下来,初始化(灰色部分不允许用户修改),修改方法为:选中信号,在其波形上单击,从该点击所在周期开始,在往后的所有的时间单元内该信号电平反向。点击din前面的“+”,点开各个信号,各个修改。



然后将testbench文件存盘,则ISE会自动将其加入到仿真的分层结构中,在代码管理区会类出刚生成的.tbw测试文件,选中.tbw文件,然后双击过程管理区的“Simulate Behavioral Model”,即可完成功能仿真。




基于ISE的仿真
发表于 2011-2-20 14:53:35 | 显示全部楼层
不错,谢谢啊  [em01]
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-10-1 00:24 , Processed in 0.048283 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表