找回密码
 注册
搜索
查看: 812|回复: 0

[讨论] 請問 FPGA 的 simulation 問題.

[复制链接]
发表于 2006-3-22 09:55:00 | 显示全部楼层 |阅读模式
請教一下各位大虾,當我把 RTL coding好之後,我使用 Altera 的 Quartus II v4.2版,把 RTL叫進去,並選擇一個 target device去做合成,可以產生出 gate-level 的 verilog out,如果我想要跑這個 gate-level 的 simulation,但是我又不想用 Modelsim-Altera,因為我原先已經有安裝 Modelsim v5.4/SE,那該如何 sim呢 ? Altera 有提供所有 target device的 simulation model嗎 ?
希望有跑過這樣流程的大虾賜教一下,謝謝 !
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-27 20:16 , Processed in 0.044674 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表