找回密码
 注册
搜索
查看: 1089|回复: 1

[FPGA资料] 单片机读写U盘升级版pb375a开发手册

[复制链接]
发表于 2009-7-14 18:00:06 | 显示全部楼层 |阅读模式
PB375A U盘读写方案
性价比超高的U盘读写模块-PB375A

PB375A是一个傻瓜化、简单化的U盘读写解决方案。您无需了解繁琐USB HOST底层协议和FAT文件系统,只需要将您的系统mcu与模块通过SPI或者UART通信,操作几个简单命令,便可完成读写创建删除文件等等功能,让您的系统非常简单快速的增加U盘读写功能。该解决方案是目前国内性价比最高的解决方案。可以根据您的需求提供芯片或者模块,为您不断压缩成本,占领市场先机。

基本不需要占用单片机系统的存储空间,最少只需要几个字节的RAM 和几百字节的代码。

价格 :相比51MCU+SL811/CH375方案有着极其强的价格优势

功能:新建、删除、读写数据,打开关闭文件 检测U盘是否存在,满足单片机及嵌入式系统读写操作U盘的要求。

  
  技术特征  
● 用于嵌入式系统/单片机读写U 盘、闪盘、闪存盘、USB 移动硬盘、USB 读卡器等。
● 支持符合USB 相关规范基于Bulk-Only 传输协议的各种U 盘/闪存盘/外置硬盘。
● 支持文件系统FAT12 和FAT16 及FAT32
● 文件操作功能:新建、删除、读写数据,打开关闭文件等。
● SPI接口,支持3.3V电平
● 单芯片解决方案,该模块只需要一个主控芯片外加少量的电容电阻便可,相对于51MCU+SL811/CH375的模块,无论模块尺寸还是成本都有着极大的优势。
● 模块尺寸:31mm*36mm
● 该模块可根据要求进行定制
丰富的例程代码帮助您更好的开发
更多详情请查看资料或与我们联系
资料下载:

PB375A DataSheet下载

用途  
· 桌上型仪表及便携式仪表 电子秤

电子医疗仪表 (血压计、血糖计、血脂计、心电机等)

运动器材(跑步机、摇摆机等等之器材)

汽车行车记录器,税控机

电子系统参数设定 ( 温度控制、行程控制等等之设备)

CNC 自动化设备 ( 程序存取设定)

数据采集




发表于 2010-4-1 14:32:25 | 显示全部楼层
[em08][em08]学习学习
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 22:18 , Processed in 0.047354 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表