找回密码
 注册
搜索
查看: 809|回复: 0

[FPGA资料] 初学者求教VHDL,请高手指点

[复制链接]
发表于 2008-8-15 13:44:04 | 显示全部楼层 |阅读模式
硬件情况:
    每一个时钟的上升沿,在8位的数据总线上都会有一个有效数据。
目的:
    在8位数据总线上的数据流中节取 以(0xFFD8)开头 ,以(0xFFD9)结尾的一段数据
问题:
    如何判断连续的两个时钟上沿时数据总线的数据是否(0xFFD8)或(0xFFD9)。
    这个时序如何用VHDL表示?

下面这个是我写的一段。我不知道应该如何写,请高手帮忙。
process (clkin)
        begin
                 if rising_edge(clkin) then       
                if( Data_bus = "11111111" )then     -- (0xFF)
                                                     FF_flag <= '1';
                end if;
        end if;
end process;                       

process (clkin)
        begin
          if rising_edge(clkin) then       
                if( Data_bus = "11011000" )then    -- (0xD8)
                                       if(FF_flag = '1') then
                                              HEAD_OF_FILE_FLAG <= '1';
                                       end if;
                end if;
        end if;
end process;                       
[em13][em13][em13][em13][em13]
高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 12:28 , Processed in 0.060951 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表