找回密码
 注册
搜索
查看: 1055|回复: 2

[FPGA资料] 基于Verilog语言的帧同步的实现

[复制链接]
发表于 2008-3-6 17:51:05 | 显示全部楼层 |阅读模式
【文件名】:0836@52RD_基于Verilog语言的帧同步的实现.rar
【格 式】:rar
【大 小】:481K
【简 介】:
【目 录】:


发表于 2008-3-8 11:29:23 | 显示全部楼层
你的用心我深感認同,謝謝你提供好東西
但沒內容
怕買到假貨!!!
点评回复

使用道具 举报

发表于 2008-4-17 17:16:34 | 显示全部楼层
没什么实质的东西。。。。。。。[em11]
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 10:30 , Processed in 0.047648 second(s), 18 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表