找回密码
 注册
搜索
查看: 903|回复: 3

[讨论] 初学VHDL,问一个问题:

[复制链接]
发表于 2007-12-16 21:08:58 | 显示全部楼层 |阅读模式
小弟初学VHDL,想请教一个问题:
关于case语句的
书上case语法格式是:
case 表达式 is
when 选择值|[选择值]=>顺序语句;
.
.
.
end case;
请问一下,这个顺序语句可不可以是case语句呢?也就是说case语句嵌套case语句
  
发表于 2007-12-17 06:11:38 | 显示全部楼层
感觉应该可以,不过最好的方法就是编个小程序试一下
点评回复

使用道具 举报

发表于 2007-12-23 12:10:55 | 显示全部楼层
我猜不可以!没有试过。
点评回复

使用道具 举报

 楼主| 发表于 2007-12-25 16:44:53 | 显示全部楼层
在《VHDL设计指南》一书中,找到了答案
case语句可以嵌套if和case等其他任意任意形式的顺序语句,呵呵

谢谢各位
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 06:34 , Processed in 0.043989 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表