找回密码
 注册
搜索
查看: 751|回复: 1

[讨论] 求助!pwm产生

[复制链接]
发表于 2007-8-14 10:37:46 | 显示全部楼层 |阅读模式
module pwm(clock,keyin,pwm_out);
input clock;
input [1:0] keyin;
output pwm_out;
reg [20:0] count;
reg [9:0] pwm_count;
reg pwm_reg;
always @(posedge clock)
begin
  count=count+1;
  if (count[15:6] < pwm_count)
     pwm_reg=1;
  else pwm_reg=0;
end
  always @(posedge count[15])
  begin
  if (keyin[0] == 1'b0)
   begin
   pwm_count=pwm_count+1;
   end
   else if (keyin[1] == 1'b0)
   begin
   pwm_count=pwm_count-1;
   end
end
assign pwm_out=pwm_reg;
endmodule
有什么问题没有,看不出来,谢谢大虾指点
发表于 2007-8-19 08:27:50 | 显示全部楼层
现在哪里不对?问题没有描述清楚
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 02:29 , Processed in 0.044150 second(s), 16 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表