找回密码
 注册
搜索
查看: 740|回复: 1

[FPGA资料] 几种寄存器的设计

[复制链接]
发表于 2006-9-11 14:54:00 | 显示全部楼层 |阅读模式
寄存器的设计

源程序:
library ieee;
usr ieee.std_logic_1164.all;
entity jcq is
   port(clk:in std_logic;
      r:in std_logic;
      d:in std_logic_vector(3 dowmto 0);
      q:out std_logic_vector(3 downto 0);
end jcq;
architecture rtl lf jcq is
signal q_temp:std_logic_vecter(3 dowmto 0);
begin
process(clk,r)
begin
  if(r='1')then
  q_temp<="0000";
  elsif(clk'event and clk='1')then
     q_temp<=d;
   end if;
q<=q_temp;
end process;
end rtl;

【文件名】:06911@52RD_第四章节 时序逻辑电路.rar
【格 式】:rar
【大 小】:26K
【简 介】:
【目 录】:
[UseMoney=2]

[/UseMoney]

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
发表于 2008-1-28 14:04:00 | 显示全部楼层
骗人的,从书上截下一个章节,没啥东东,大家不要上当
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 08:41 , Processed in 0.046198 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表