|
发表于 2007-11-7 14:48:00
|
显示全部楼层
贵了.其实偶觉得,初学者还是不要从这么复杂的文档入手,可以先以一个小的角本进行开始.
熟悉了环境再回头看文档资料.
下面是一做PT的简单脚本,希望对初学者有用.
set CORNER fast
set SDF_FILE abc.sdf
set link_library {*}
set VERILOG_FILE adc.v
set link_library {concat $link_library %{CORNER}.db}
set target_library ${CORNER}.db
set TOP abc
read_verilog $VERILOG_FILE > ${TOP}_{CORNER}_read_verilog.log
current_design $TOP
set_operating_condition -analysis_type on_chip_variation
link_design
create_clock [get_port CLK] -p 50 -name CLK
set_propagated_clock [all_clocks]
set_clock_uncertainty -hold 0.4 [all_clocks]
set_max_transition 0.7 $TOP
set_max_transition 0.3 [get_clocks CLK] -clock_path
set_false_path -from [remove_from_collection][all_inpus][list CLK]
read_sdf $SDF_FILE > ${TOP}_${CORNER}_read_sdf.log
set timing_use_zero_slew_for_annotated_arcs "false"
report_constrains -all_violators -verbose > ${TOP}_${CORNER}.rpt
echo "HERE"
希望高手来教诲. |
|