找回密码
 注册
搜索
查看: 1420|回复: 4

[IC设计资料] Primetime workshop培训教程

[复制链接]
发表于 2006-4-30 13:52:00 | 显示全部楼层 |阅读模式
【文件名】:06430@52RD_PrimeTime.rar
【格 式】:rar
【大 小】:1086K
【简 介】:关于primetime的资料好像很少,贴出来供大家共享!

【目 录】:无目录


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?注册

×
发表于 2007-11-2 12:46:00 | 显示全部楼层
学习, 贵啊
点评回复

使用道具 举报

发表于 2007-11-7 14:48:00 | 显示全部楼层
贵了.其实偶觉得,初学者还是不要从这么复杂的文档入手,可以先以一个小的角本进行开始.
熟悉了环境再回头看文档资料.
下面是一做PT的简单脚本,希望对初学者有用.
set CORNER fast
set SDF_FILE abc.sdf
set link_library {*}
set VERILOG_FILE adc.v

set link_library {concat $link_library %{CORNER}.db}
set target_library ${CORNER}.db
set TOP abc
read_verilog $VERILOG_FILE > ${TOP}_{CORNER}_read_verilog.log
current_design $TOP

set_operating_condition -analysis_type on_chip_variation

link_design

create_clock [get_port CLK] -p 50 -name CLK
set_propagated_clock [all_clocks]
set_clock_uncertainty -hold 0.4 [all_clocks]
set_max_transition 0.7 $TOP
set_max_transition 0.3 [get_clocks CLK] -clock_path
set_false_path -from [remove_from_collection][all_inpus][list CLK]
read_sdf $SDF_FILE > ${TOP}_${CORNER}_read_sdf.log
set timing_use_zero_slew_for_annotated_arcs "false"
report_constrains -all_violators -verbose > ${TOP}_${CORNER}.rpt
echo "HERE"

希望高手来教诲.
点评回复

使用道具 举报

发表于 2007-11-14 10:33:00 | 显示全部楼层

Primetime workshop培训教程

这几天仔细看了一下,真不错.
点评回复

使用道具 举报

发表于 2007-12-27 10:43:00 | 显示全部楼层
mei qian a
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 06:20 , Processed in 0.047648 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表