找回密码
 注册
搜索
查看: 789|回复: 1

[讨论] IEEE 1364 Verilog-2001标准

[复制链接]
发表于 2008-6-1 17:32:29 | 显示全部楼层 |阅读模式
  IEEE1364Verilog-2001标准,有兴趣的可以看下。

  Contents

  1.Overview.............................................................................................................................................1

  1.1Objectivesofthisstandard...........................................................................................................1

  1.2Conventionsusedinthisstandard................................................................................................1

  1.3Syntacticdescription....................................................................................................................2

  1.4Contentsofthisstandard..............................................................................................................2

  1.5Headerfilelistings.......................................................................................................................4

  1.6Examples.....................................................................................................................................5

  1.7Prerequisites................................................................................................................................5

  2.Lexicalconventions.............................................................................................................................6

  2.1Lexicaltokens..............................................................................................................................6

  2.2Whitespace.................................................................................................................................6

  2.3Comments...................................................................................................................................6

  2.4Operators.....................................................................................................................................6

  2.5Numbers......................................................................................................................................6

  2.6Strings.......................................................................................................................................10

  2.7Identifiers,keywords,andsystemnames..................................................................................12

  2.8Attributes...................................................................................................................................14

  3.Datatypes..........................................................................................................................................20

  3.1Valueset....................................................................................................................................20

  3.2Netsandvariables......................................................................................................................20

  3.3Vectors......................................................................................................................................23

  3.4Strengths...................................................................................................................................24

  3.5Implicitdeclarations...................................................................................................................25

  3.6Netinitialization........................................................................................................................25

  3.7Nettypes...................................................................................................................................25

  3.8regs............................................................................................................................................31

  3.9Integers,reals,times,andrealtimes...........................................................................................31

  3.10Arrays........................................................................................................................................33

  3.11Parameters.................................................................................................................................34

  3.12Namespaces..............................................................................................................................38

  4.Expressions.......................................................................................................................................40

  4.1Operators...................................................................................................................................40

  4.2Operands...................................................................................................................................52

  4.3Minimum,typical,andmaximumdelayexpressions................................................................57

  4.4Expressionbitlengths................................................................................................................59

  4.5Signedexpressions....................................................................................................................62

  5.Schedulingsemantics........................................................................................................................64

  5.1Executionofamodel.................................................................................................................64

  5.2Eventsimulation........................................................................................................................64

  5.3Thestratifiedeventqueue..........................................................................................................64

  5.4TheVerilogsimulationreferencemodel...................................................................................65

  5.5Raceconditions.........................................................................................................................66

  viii

  Copyright.2001IEEE.Allrightsreserved.

  5.6Schedulingimplicationofassignments.....................................................................................66

  6.Assignments......................................................................................................................................69

  6.1Continuousassignments.............................................................................................................69

  6.2Proceduralassignments..............................................................................................................73

  7.Gateandswitchlevelmodeling.........................................................................................................75

  7.1Gateandswitchdeclarationsyntax............................................................................................75

  7.2and,nand,nor,or,xor,andxnorgates.......................................................................................81

  7.3bufandnotgates........................................................................................................................82

  7.4bufif1,bufif0,notif1,andnotif0gates.......................................................................................83

  7.5MOSswitches............................................................................................................................84

  7.6Bidirectionalpassswitches........................................................................................................86

  7.7CMOSswitches.........................................................................................................................86

  7.8pullupandpulldownsources.....................................................................................................87

  7.9Logicstrengthmodeling............................................................................................................88

  7.10Strengthsandvaluesofcombinedsignals.................................................................................89

  7.11Strengthreductionbynonresistivedevices..............................................................................102

  7.12Strengthreductionbyresistivedevices....................................................................................102

  7.13Strengthsofnettypes...............................................................................................................102

  7.14Gateandnetdelays..................................................................................................................103

  8.User-definedprimitives(UDPs)......................................................................................................107

  8.1UDPdefinition.........................................................................................................................107

  8.2CombinationalUDPs...............................................................................................................111

  8.3Level-sensitivesequentialUDPs.............................................................................................112

  8.4Edge-sensitivesequentialUDPs..............................................................................................112

  8.5SequentialUDPinitialization..................................................................................................113

  8.6UDPinstances.........................................................................................................................115

  8.7Mixinglevel-sensitiveandedge-sensitivedescriptions...........................................................116

  8.8Level-sensitivedominance.......................................................................................................117

  9.Behavioralmodeling.......................................................................................................................118

  9.1Behavioralmodeloverview.....................................................................................................118

  9.2Proceduralassignments............................................................................................................119

  9.3Proceduralcontinuousassignments.........................................................................................124

  9.4Conditionalstatement..............................................................................................................127

  9.5Casestatement.........................................................................................................................130

  9.6Loopingstatements..................................................................................................................134

  9.7Proceduraltimingcontrols.......................................................................................................136

  9.8Blockstatements......................................................................................................................145

  9.9Structuredprocedures..............................................................................................................148

  10.Tasksandfunctions.........................................................................................................................151

  10.1Distinctionsbetweentasksandfunctions................................................................................151

  10.2Tasksandtaskenabling...........................................................................................................151

  10.3Functionsandfunctioncalling.................................................................................................156

  Copyright.2001IEEE.Allrightsreserved.

  ix

  11.Disablingofnamedblocksandtasks...............................................................................................162

  12.Hierarchicalstructures.....................................................................................................................165

  12.1Modules...................................................................................................................................165

  12.2Overridingmoduleparametervalues.......................................................................................179

  12.3Ports........................................................................................................................................184

  12.4Hierarchicalnames..................................................................................................................192

  12.5Upwardsnamereferencing......................................................................................................195

  12.6Scoperules..............................................................................................................................197

  13.Configuringthecontentsofadesign...............................................................................................199

  13.1Introduction.............................................................................................................................199

  13.2Libraries..................................................................................................................................200

  13.3Configurations.........................................................................................................................202

  13.4Usinglibrariesandconfigs......................................................................................................206

  13.5Configurationexamples...........................................................................................................207

  13.6Displayinglibrarybindinginformation...................................................................................209

  13.7Librarymappingexamples......................................................................................................209

  14.Specifyblocks.................................................................................................................................211

  14.1Specifyblockdeclaration.........................................................................................................211

  14.2Modulepathdeclarations.........................................................................................................212

  14.3Assigningdelaystomodulepaths............................................................................................222

  14.4Mixingmodulepathdelaysanddistributeddelays..................................................................226

  14.5Drivingwiredlogic..................................................................................................................227

  14.6Detailedcontrolofpulsefilteringbehavior.............................................................................228

  15.Timingchecks.................................................................................................................................237

  15.1Overview.................................................................................................................................237

  15.2Timingchecksusingastabilitywindow..................................................................................240

  15.3Timingchecksforclockandcontrolsignals...........................................................................248

  15.4Edge-controlspecifiers............................................................................................................258

  15.5Notifiers:user-definedresponsestotimingviolations............................................................259

  15.6Enablingtimingcheckswithconditionedevents.....................................................................265

  15.7Vectorsignalsintimingchecks...............................................................................................266

  15.8Negativetimingchecks............................................................................................................267

  16.BackannotationusingtheStandardDelayFormat(SDF)................................................................269

  16.1TheSDFannotator...................................................................................................................269

  16.2MappingofSDFconstructstoVerilog....................................................................................269

  16.3Multipleannotations................................................................................................................274

  16.4MultipleSDFfiles....................................................................................................................275

  16.5Pulselimitannotation..............................................................................................................275

  16.6SDFtoVerilogdelayvaluemapping.......................................................................................276

  17.Systemtasksandfunctions..............................................................................................................277

  17.1Displaysystemtasks................................................................................................................277

  17.2Fileinput-outputsystemtasksandfunctions...........................................................................286

  x

  Copyright.2001IEEE.Allrightsreserved.

  17.3Timescalesystemtasks............................................................................................................297

  17.4Simulationcontrolsystemtasks...............................................................................................301

  17.5PLAmodelingsystemtasks.....................................................................................................302

  17.6Stochasticanalysistasks..........................................................................................................306

  17.7Simulationtimesystemfunctions............................................................................................308

  17.8Conversionfunctions...............................................................................................................310

  17.9Probabilisticdistributionfunctions..........................................................................................311

  17.10Commandlineinput...............................................................................................................320

  18.Valuechangedump(VCD)files......................................................................................................324

  18.1Creatingthefourstatevaluechangedumpfile.......................................................................324

  18.2FormatofthefourstateVCDfile............................................................................................329

  18.3Creatingtheextendedvaluechangedumpfile........................................................................339

  18.4FormatoftheextendedVCDfile.............................................................................................343

  19.Compilerdirectives.........................................................................................................................350

  19.1`celldefineand`endcelldefine..................................................................................................350

  19.2`default_nettype.......................................................................................................................350

  19.3`defineand`undef....................................................................................................................351

  19.4`ifdef,`else,`elsif,`endif,`ifndef............................................................................................353

  19.5`include...................................................................................................................................357

  19.6`resetall....................................................................................................................................357

  19.7`line.........................................................................................................................................358

  19.8`timescale................................................................................................................................358

  19.9`unconnected_driveand`nounconnected_drive......................................................................360

  20.PLIoverview...................................................................................................................................361

  20.1PLIpurposeandhistory(informative).....................................................................................361

  20.2User-definedsystemtaskorfunctionnames...........................................................................361

  20.3User-definedsystemtaskorfunctiontypes.............................................................................362

  20.4Overridingbuilt-insystemtaskandfunctionnames...............................................................362

  20.5User-suppliedPLIapplications................................................................................................362

  20.6PLIinterfacemechanism.........................................................................................................362

  20.7User-definedsystemtaskandfunctionarguments..................................................................363

  20.8PLIincludefiles.......................................................................................................................363

  20.9PLIMemoryRestrictions.........................................................................................................363

  21.PLITFandACCinterfacemechanism............................................................................................364

  21.1User-suppliedPLIapplications................................................................................................364

  21.2AssociatingPLIapplicationstoaclassandsystemtask/functionname.................................365

  21.3PLIapplicationarguments.......................................................................................................366

  22.UsingACCroutines........................................................................................................................368

  22.1ACCroutinedefinition............................................................................................................368

  22.2Thehandledatatype................................................................................................................368

  22.3UsingACCroutines.................................................................................................................369

  22.4ListofACCroutinesbymajorcategory..................................................................................369

  22.5Accessibleobjects....................................................................................................................375

  22.6ACCroutinetypesandfulltypes..............................................................................................383

  Copyright.2001IEEE.Allrightsreserved.

  xi

  22.7Errorhandling..........................................................................................................................386

  22.8Readingandwritingdelayvalues............................................................................................388

  22.9Stringhandling........................................................................................................................394

  22.10UsingVCLACCroutines......................................................................................................396

  23.ACCroutinedefinitions...................................................................................................................403

  24.UsingTFroutines............................................................................................................................564

  24.1TFroutinedefinition................................................................................................................564

  24.2TFroutinesystemtask/functionarguments.............................................................................564

  24.3Readingandwritingsystemtask/functionargumentvalues....................................................564

  24.4Valuechangedetection............................................................................................................566

  24.5Simulationtime........................................................................................................................566

  24.6Simulationsynchronization.....................................................................................................566

  24.7Instancesofuser-definedtasksorfunctions............................................................................567

  24.8Moduleandscopeinstancenames...........................................................................................567

  24.9SavinginformationfromonesystemTFcalltothenext.........................................................567

  24.10Displayingoutputmessages...................................................................................................567

  24.11Stoppingandfinishing...........................................................................................................567

  25.TFroutinedefinitions......................................................................................................................568

  26.UsingVPIroutines..........................................................................................................................623

  26.1VPIsystemtasksandfunctions...............................................................................................623

  26.2TheVPIinterface.....................................................................................................................623

  26.3VPIobjectclassifications.........................................................................................................625

  26.4ListofVPIroutinesbyfunctionalcategory.............................................................................628

  26.5Keytodatamodeldiagrams....................................................................................................630

  27.VPIroutinedefinitions....................................................................................................................664

  AnnexA(normative)Formalsyntaxdefinition...........................................................................................711

  AnnexB(normative)Listofkeywords.......................................................................................................736

  AnnexC(informative)Systemtasksandfunctions....................................................................................738

  AnnexD(informative)Compilerdirectives................................................................................................745

  AnnexE(normative)acc_user.h..................................................................................................................747

  AnnexF(normative)veriuser.h...................................................................................................................756

  AnnexG(normative)vpi_user.h.................................................................................................................764

  AnnexH(informative)Bibliography...........................................................................................................778

  
 楼主| 发表于 2008-6-1 17:51:58 | 显示全部楼层

终于传上来了

  终于传上来了
【文件名】:0861@52RD_IEEE 1364 Verilog-2001.rar
【格 式】:rar
【大 小】:2175K
【简 介】:
【目 录】:


点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 10:14 , Processed in 0.047889 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表