找回密码
 注册
搜索
查看: 864|回复: 2

[讨论] 大家帮忙看看这段代码怎么错了

[复制链接]
发表于 2008-4-13 15:48:16 | 显示全部楼层 |阅读模式
LIBRARY IEEE;   错
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CNT32 IS
PORT
(
CLK:IN STD_LOGIC;
SH,RS,ROG:OUT STD_LOGIC; --Signals of CCDULSE:to PULSE1 and PULSE2 through a WIRE gat and a NOT gat;
PULSE,PULSE2:BUFFER STD_LOGIC
);
END CNT32;
ARCHITECTURE A OF CNT32 IS
SIGNAL Q: INTEGER RANGE 0 TO 29;
SIGNAL NUM: INTEGER RANGE 0 TO 63899;
BEGIN
PROCESS(CLK)
BEGIN
IF CLK'EVENT AND CLK='1' THEN    错
CASE Q IS
WHEN 16|17 =>
RS<='1';
SH<='0';
Q<=Q 1;
WHEN 20|21=>
SH<='1';
RS<='0';
Q<=Q 1;
WHEN 29 =>
RS<='1';
SH<='1';
PULSE<=NOT PULSE;
Q<=0;
WHEN OTHERS =>
RS<='1';
SH<='1';
Q<=Q 1;
END CASE;
END IF;
END PROCESS;
PROCESS(CLK)
BEGIN
IF CLK'EVENT AND CLK='0' THEN    错
IF NUM=63899 THEN NUM<=0;
ELSE
IF (NUM>1)AND(NUM<25) THEN
ROG<='1';
ELSE
ROG<='0';
END IF;
NUM<=NUM 1;
END IF;
END IF;
END PROCESS;
PULSE2<= NOT PULSE;

END A;
我用的是MAX+plus II 10.0 BASELINE,它显示3处错了,我不知道为什么错。请教下大家。
发表于 2008-4-14 19:51:00 | 显示全部楼层
版主
希望不要在程序后面放置本网站标志
在仿真的时候还要删除
很不方便
点评回复

使用道具 举报

发表于 2008-4-16 13:48:09 | 显示全部楼层
你程序中的Q1和NUM1是怎么来的,如果对此信号进行相关声明,同时把倒数第四行的end if 删掉就可以仿真通过了
点评回复

使用道具 举报

高级模式
B Color Image Link Quote Code Smilies

本版积分规则

Archiver|手机版|小黑屋|52RD我爱研发网 ( 沪ICP备2022007804号-2 )

GMT+8, 2024-9-30 10:22 , Processed in 0.045330 second(s), 16 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表